demo verilog.v